ASIC
DESIGN
Click Here
DYNAMIC SIMULATION
Click Here
EM
Simulation
Click Here
Rapid Prototyping
Click Here
Artificial Intelligence
Click Here
EMF
Safety
Click Here

SystemCrafter SC

SystemCrafter SC is software tool that synthesizes SystemC automatically to VHDL/Verilog description hardware. Engineers and programmers can design, debug and simulate hardware and systems using their existing C++ development environment. SystemCrafter SC facilitates to simulate hardware and software in same framework. Designers can synthesize SystemC to RTL for implementation using a standard VHDL/Verilog design flow. SystemCrafter SC also writes a structural SystemC description of the synthesized circuit for verification.


Target users of SystemCrafter SC :
SystemCrafter SC is suitable for programmers, scientists, systems engineers and hardware engineers. It enables developing hardware to be viewed as a higher level activity than writing an HDL, and allows the user to focus on the algorithm, rather than the details of the implementation.

SystemCrafter SC: Design Flow

SystemCrafter SC design flow automates the process of synthesizing SystemC to RTL VHDL/Verilog. It will also generate a SystemC description of the synthesized circuit, which can be used to verify the synthesized code using your existing test harness.

SystemCrafter SC gives the designer control of the critical steps of scheduling (clock cycle allocation) and allocation (hardware reuse). Thus, the results are always predictable, controllable and match the designer's expectations.

SystemCrafter SC allows you to develop, refine, debug and synthesize hardware and systems within your existing C++ compiler's development environment. You can run fast, executable SystemC specifications to verify your design. You can configure your compiler so that SystemCrafter SC is automatically run when you specify that you want to generate hardware. There is no new GUI to learn.

Synthesizing SystemC To Hardware


Using synthesis tool and Xilinx FPGA flow


System-level Design and Co-design

The additional advantage of SystemCrafter SC for co-design is that you can simulate the hardware and software partition in the same framework. A typical development process is

Using synthesis tool and Xilinx FPGA flow

FPGA Co-processing & Hardware Acceleration

Using SystemC and SystemCrafter, you can easily develop hardware coprocessing and software acceleration units. Using FPGA hardware with SystemC flows enables powerful new computing applications and domains.
You can use your existing C++ compiler to develop a SystemC description of your application, and experiment with implementing parts of the code in different coprocessors and hardware accelerators. You can use your compiler's facilities to profile candidate architectures, and simulate the whole system. Then SystemCrafter will automatically produce RTL VHDL/Verilog descriptions of the hardware, which you can implement in your Xilinx FPGA.

Distributor for Asia Pacific:

Contact:
SystemCrafter Ltd.,
The Old Hall, Parham, Woodbridge,
Suffolk, IP139ND, UK. Tel: +44 (0)1728 724897
For more information, applications notes, presentation, evaluation please visit www.systemcrafter.com



Note : ni logic pvt. ltd. is authorized distributor & promoters for Asia pacific region from SystemCrafter, Woodbridge.

39, B-wing, Bandal Dhankude Plaza,
Bhusari Colony, Paud Road, Kothrud, Pune - 411 038
Maharashtra, India 
Contact no.: +91 20 2528 6947 / 8
Mobile: +91-92255 69069 / +91-92255 69067
E-mail: info@ni2designs.com